Subscribe Us

Header Ads

Write Verilog Code for Generate clock With 2 GHz.

  

//generate 2 GHZ signal with 75%duty cycle

 

`timescale 1ps/1ps

 

module c_2ghz();

 

reg clk;

 

initial

begin

    clk=1;

end

 

always@(clk)

begin

    #125 clk=0;

    #375 clk=1;

end

 

initial

$monitor("%d",clk);

 

endmodule


Post Your doubt in mail.👇👇👇

  E-Mail:-denilvaghasiya17@gmail.com


Post a Comment

0 Comments